ChipMOS / Taiwan
1. Memory Testers
Tester Advantest T5371 Advantest T5375/77 Advantest T5365
Speed 70 MHz 142.8 MHz 60 MHz
I/O, channel 640 1280 288
Driver, channel 960 2048 576
MRA utility MRA 4 MRA 4 N/A
PPS unit (standard/max.) 64/128 256/256 32/64
DC unit (standard/max.) 16/32 64/64 16/32
Duts/System (max.) 64/128 (AD) 128/256 (AD) 64
Tester Advantest T5581H Advantest T5585 Advantest T5588
Speed 250 MHz 250 MHz
500Mbps (DDR mode)
400MHz
800Mbps (DDR mode)
I/O, channel 576 1152 3072
Driver, channel 960 1536 3584
MRA utility N/A N/A N/A
PPS unit (standard/max.) 64/64 192/192 512/512
DC unit (standard/max.) 32/32 64/64 64/64
Duts/System (max.) 64/128 (AD) 128/256 (AD) 256
Tester Advantest T5593 Advantest T5503A Advantest T5503HS
Speed 533MHz
1066Mbps (DDR mode)
1.143GHz
2.286Gbps (DDR mode)
2.25GHz
4.511Gbps (DDR mode)
I/O, channel 1536 3072 8064
Driver, channel 1536 2688 2240
MRA utility NA NA NA
PPS unit (standard/max.) 320/320 512/1024 1024/2014
DC unit (standard/max.) 64/64 128/128 128/128
Duts/System (max.) 256 512 512
2. Handlers for Memory Test
Hanlder Advantest
M6541A/AD
Advantest
M6741A/AD
Advantest
M6751A/AD
Feeding speed (1BIN,Offline) 6000 device/hr 3300 device/hr 4500 device/hr
Heating system Chamber
heating
Chamber
heating
Chamber
heating
Temperature range
standard
option

-30 ~ 125°C
-55 ~ 125°C

-30 ~ 125°C
-55 ~ 125°C

-30 ~ 125°C
-55 ~ 125°C
Interface GPIB GPIB GPIB
Hanlder Advantest
M6542AD
Advantest
M6300/AD
Advantest
M6242/AD
Feeding speed (1BIN,Offline) 6200 device/hr 12,000 device/hr 42,200 device/hr
Heating system Chamber
heating
Chamber
heating
Chamber
heating
Temperature range
standard
option

-30 ~ 125°C
-55 ~ 125°C

-30 ~ 125°C
-55 ~ 125°C

-30 ~ 125°C
-55 ~ 125°C
Interface GPIB GPIB GPIB
Hanlder SRM XD-248 SRM Z-248 SRM Z-328
Feeding speed (1BIN,Offline) 36,000 device/hr 40,000 device/hr 24,000 device/hr
Heating system NA NA NA
Temperature range
standard
option
NA NA NA
Interface GPIB GPIB GPIB
3. Support Systems of Memory Test
 Application System Remark
MFG Model
Inspection TSOP/QFP/BGA/SOP ICOS T120/T790  
TSOP/QFP/BGA/SOP TFS 5000/2820/350  
SOP SRM Z248T  
Packing TSOP/QFP/BGA/SOP Shinron MVI-608 Baking Oven
TSOP/QFP/BGA/SOP JIY FUN JF-300 Packing
TSOP/QFP/BGA/SOP JIY FUN JF-900A Vacuum packing
Burn-In Oven SDRAM/SSRAM/DDR/FLASH SSE B1120M  Dynamic B/I Oven
SDRAM/SSRAM/DDR/FLASH JEC 3502 Monitor /TDBI B/I Oven
SDRAM/SSRAM/DDR/FLASH ANDO AF8652D5 Monitor /TDBI B/I Oven
SDRAM/SSRAM/DDR/FLASH/MaskROM ANDO AF8652D6 Monitor /TDBI B/I Oven
Loader/Unloader TSOP/QFP/BGA/SOP Prov PPLU-600 Pick & Place
TSOP/QFP/BGA/SOP Prov PPLU-800 Pick & Place
Marking TSOP/QFP/BGA/SOP Prov TTLM10000_ER40 Laser Marker
TSOP/QFP/BGA/SOP EO BM402/364 Laser Marker
4. Testers for Display Driver IC (DDIC)
Tester Advantest T6371 Advantest T6372 Advantest T6373 Advantest T6391
Speed 125MHz 125 MHz 125 MHz 500 MHz
I/O, channel 256 256 256 512 / 1024
Driver, channel 1280 1536 2304 2304 / 3584
PPS unit (max.) 16 16 32 96 / 160
DC unit (max.) 64 128 160 128
Duts/System(max.) 4 4 32 32
Tester Yokogawa TS670 Yokogawa TS6730 Diamond X
Speed 80 MHz 250 MHz 200 MHz
I/O, channel  112 64 x 2 (NSIO)
32 (HSIO)
14 pairs (DIHD)
 768
Driver, channel 512 1334 2560
PPS unit (max.) 4 8 120
DC unit (max.) 24 72 72
Duts/System (max.) 2 4 36
5. COF Handlers for Display Driver IC (DDIC) Test
COF Handler TESEC AH-9610 TESEC AH-9710 TAKAYA TFH-1800 HONTECH HT-6000
Docking tester     Advantest T6372 Advantest T6371
Advantest T6372
Advantest T6373
Advantest T6391
Diamond X
Yokogawa ST6730
Advantest T6371
Advantest T6372
Advantest T6373
Yokogawa ST6730
Advantest T6371
Advantest T6372
Advantest T6373
Advantest T6391
Diamond X
Yokogawa ST6730
COF Tape width 35 / 48 /70 mm W/ SW 35 / 48 /70 mm W/ SW 35 / 48 /70 mm W/ SW 35 / 48 /70 mm W/ SW
DUT type Dual Dual Dual Dual
Temperature 25~110°C 25~110°C -40~115°C -40~125°C
Interface TTL / GPIB GPIB TTL / GPIB GPIB
6. Testers for Mixed-Signal
Tester HP/Verigy HP93000-C400e Advantest/Verigy  PS400 Advantest PS1600 LTX -Credence D10
Base Freq. 100 MHz 400 MHz 400 MHz 100 MHz
Data Rate 400 Mbps 533 Mbps 1600 Mbps 200 Mbps
Test Head 1(LTH) 1(CTH) 1(CTH) 1
Digital Board C400E/P1000 PS400/PS3600 PS1600/PS9G/PSSL DPIN96
Digital Pin Count 512~896 Pins 448~512 Pins 512~1152 Pins 480~768 Pins
Vector Memory 28M/56M/112M 16M/32M 16M/32M/64M 16M/32M
DPS Power GPDPS / MSDPS MSDPS / DPS32 DPS32 / DPS64 DPS16 / VIS16
Analog Module WGA : 1M AWG
WGB : 128M AWG
WDA : 40M DIG
WDB : 2M DIG
AV8 : MCA
TIA : Wavecrast

 

AV8 : MCA/MCB AV8 : MCB/MCE MultiWave
7. Handlers for Mixed-Signal Test
Handler Seiko Epson
NS-6040
Seiko Epson
NS-8040
Seiko Epson
NS-8080
Device Type QFP, TSOP, PGA, BGA, PLCC, QFN QFP, TSOP, PGA, BGA, PLCC, QFN QFP, TSOP, PGA, BGA, PLCC, QFN
Test Mode Single/Dual/Quad Single/Dual/Quad Single/Dual/Quad/Octal
Temperature 25~130°C 25~130°C 25~130°C
Handler Hon-Tek
HT 7045
Hon-Tek
HT 9045
JHT
Exceed 6080
Device Type QFP, TSOP, PGA, BGA, PLCC, QFN QFP, TSOP, PGA, BGA, PLCC, QFN QFP, TSOP, PGA, BGA, PLCC, QFN
Test Mode Single/Dual/Quad Single/Dual/Quad/Octal Single/Dual/Quad/Octal
Temperature 25~130°C 25~130°C 25~130°C
8. Support Systems of Mixed-Signal Test
 Application System Remark
MFG Model
Inspection TSOP/QFP/BGA/QFN ICOS 9450/T120/T790  
TSOP/QFP/BGA/QFN OPTI 6100  
TSOP/QFP/BGA/QFN STI TR48-MKIV Tape & Reel
Packing TSOP/QFP/BGA/QFN Maiiler IGOH-2M-RH Baking Oven
TSOP/QFP/BGA/QFN Shiny NX0-B3/NX0-B5 Backing Oven
TSOP/QFP/BGA/QFN JIY FUN JF-300/JF-325 Strappig machine
TSOP/QFP/BGA/QFN JIY FUN JF-680A/JF-900A Vacuum packing